PGMFI Logo
Library> DAC Destinations: Home | Library | Change Log | Index
Search | Go

Digital to Analog Converter.

the process of taking a digital value and converting it to an analog signal of some variety.

We'll use an 8-bit DAC using a 5.00V reference as an example. The DAC outputs a voltage (which can be measured with a DVM) ranging from (usually) zero output to the reference output, and is directly proportional to the input.

0x0 = 0V output. 0xff (full scale in our example) = 5.00V output.

General form: Vout = Vref * count / 2^n. Where 2^n is the number of bits in the converter. For our example we used an 8-bit converter, so 2^8 = 256 possible combinations. Zero is a valid value, consuming one of our combinations, so the total span is 0 - 255 counts. So redoing our general equation:

Vout = 5.00V * count / 255 = 0.0196 * count.

So this means that you can output any DC value to an accuracy of roughly 20mv. Pretty useful! Higher resolution DACs give more steps which allow better resolution. For example a 12-bit DAC would give 1.22mv steps, 16 times better then the 8-bit part.

Revision: r1.1 - 19 Feb 2004 - 22:01 GMT - guest { Edit | Attach | History | More }
Copyright © 2002-present by the contributing authors. All material on this collaboration platform is the property of the
contributing authors, and is covered by the Non-Commercial Share-Alike License unless explicitly stated otherwise.
Ideas, requests, problems regarding the PGMFI TWiki?? E-Mail the WikiAdmin
Site Designed By: Digital Fusion   Need a website?